ためになるホームページ お問い合わせ




TOP > Verilog > ファイル入出力システムタスク
ファイル入出力システムタスク
verilogでは、表示用システムタスクに似たタスクを用いて、指定したファイルに実行結果をダンプする事ができる。
(実行手順)
1.ファイル変数を宣言し、ファイルオープンする。
2.ファイル入出力用システムタスクを用いて、ファイルに出力する。

ファイルオープン
ファイルオープンは、32bitのファイル変数を宣言し、$fopenでファイルを開く。integer宣言、reg宣言を行う。
(書式) F_HANDL = $fopen("ファイル名");

ファイルへの書き込み
ファイルへの書き込みは、以下のシステムタスクを用いる。
システムタスク 概要
$fdisplay 改行して書き込む
$fwrite 改行せずに書き込む
$fmonitor 信号の変化があった時に、書き込む
$fstrobe 全てのイベントが終了してから、書き込む

(書式) ファイル入出力タスク(ファイル変数, "書式フォーマット", 信号名...);

ファイルクローズ
ファイルをクローズするタイミングは、シミュレーションが終了するか、明示的にファイルをクローズする。
(書式) $fclose(ファイル変数);
ファイル入出力システムタスクの例
integer F_HANDLE;
initial F_HANDLE = $fopen = ("dump.log");
always begin
  $fstroke(F_HANDLE, "time(%t) : CLK = %b\n", $time, CLK);
end






Copyright 2007 ためになるホームページ All Rights Reserved.